view test/bug71.d @ 293:ebfa488f4abc trunk

[svn r314] Get correct value type for newing of multidimensional dynamic arrays. Fixes array_initialization_26_E.
author ChristianK
date Sun, 22 Jun 2008 15:21:34 +0200
parents 288fe1029e1f
children
line wrap: on
line source

module bug71;

void main()
{
    static TypeInfo skipCI(TypeInfo valti)
    {
      while (1)
      {
    if (valti.classinfo.name.length == 18 &&
        valti.classinfo.name[9..18] == "Invariant")
        valti = (cast(TypeInfo_Invariant)valti).next;
    else if (valti.classinfo.name.length == 14 &&
        valti.classinfo.name[9..14] == "Const")
        valti = (cast(TypeInfo_Const)valti).next;
    else
        break;
      }
      return valti;
    }
}