view test/arrayinit.d @ 293:ebfa488f4abc trunk

[svn r314] Get correct value type for newing of multidimensional dynamic arrays. Fixes array_initialization_26_E.
author ChristianK
date Sun, 22 Jun 2008 15:21:34 +0200
parents ee302fe07296
children
line wrap: on
line source

module arrayinit;
float[4] ftable = [1,2,3,4];
int[8] itable = [3:42,6:123];

private uint[7] crc32_table = [0x00000000,0x77073096,0xee0e612c,0x990951ba,0x076dc419,0x706af48f,0xe963a535];

void main()
{
    assert(crc32_table[3] == 0x990951ba);
}