view tests/mini/alignment.d @ 1031:e594385d7e53

Fixed potential assertion failure in taking address of function as a constant expression.
author Tomas Lindquist Olsen <tomas.l.olsen@gmail.com>
date Tue, 03 Mar 2009 17:27:14 +0100
parents 1bb99290e03a
children
line wrap: on
line source

module alignment;

align(1) struct S
{
    ubyte b;
    int i;
    ubyte[2] b2;
    long l;
    real r;
}

void main()
{
    byte b;
    short s;
    int i;
    long l;
    float f;
    double d;
    real r;
}