view tests/mini/complex5.d @ 1115:af625ea2d3cf

Call _d_array_bounds when an associative array is indexed with a non-existent key (unless it's being assigned to). Closes #233.
author Frits van Bommel <fvbommel wxs.nl>
date Sat, 14 Mar 2009 01:22:05 +0100
parents 4435f57956e7
children
line wrap: on
line source

module complex5;

void main()
{
    cfloat c = 3+2i;
    foo(c);
}

void foo(cfloat c)
{
    assert(c.re > 2.9999  && c.re < 3.0001);
    assert(c.im > 1.9999i && c.im < 2.0001i);
}