view tests/mini/arrays7.d @ 1215:08f87d8cd101

Fix some unittests for 64-bit asm. They were operating on int variables as if they were longs. This was causing asm1_1 to fail when compiled with -O3 because it was overwriting the spilled value of callee-saved register %rbx, which the runtime was using as a pointer value at the time.
author Frits van Bommel <fvbommel wxs.nl>
date Mon, 13 Apr 2009 17:42:36 +0200
parents 44f08170f4ef
children
line wrap: on
line source

module arrays7;

extern(C) int printf(char*, ...);

struct S
{
    int i;
    float f;
    long l;

    void print()
    {
        printf("%d %f %lx\n", i, f, l);
    }
}

void main()
{
    S[] arr;
    S s;
    assert(arr.length == 0);
    arr ~= s;
    assert(arr.length == 1);
    arr ~= S(1,2.64,0xFFFF_FFFF_FFFF);
    assert(arr.length == 2);
    arr[0].print();
    arr[1].print();
    assert(arr[1].i == 1);
    assert(arr[1].f > 2.63 && arr[1].f < 2.65);
    assert(arr[1].l == 0xFFFF_FFFF_FFFF);
}