comparison test/longtest.d @ 7:2c6ab06a8829

fixed up for chatserver
author rick@minifunk
date Wed, 09 Jul 2008 00:32:11 -0400
parents
children
comparison
equal deleted inserted replaced
6:287ba7de97c4 7:2c6ab06a8829
1 module longtest;
2
3 import tango.net.Socket;
4 import tango.core.Thread;
5 import tango.io.Stdout;
6 import dreactor.core.Vat;
7 import dreactor.core.Dispatcher;
8 import dreactor.protocol.RawTcp;
9 import dreactor.transport.AsyncSocketConduit;
10
11
12 int main()
13 {
14 AsyncSocketConduit cond = new AsyncSocketConduit;
15 Dispatcher lh = new Dispatcher(cond, true);
16 Vat l_vat = new Vat();
17 RawTCPListener listener = new RawTCPListener(lh, l_vat, new IPv4Address(5555));
18 l_vat.run();
19
20 AsyncSocketConduit clcond = new AsyncSocketConduit;
21 Dispatcher ch = new Dispatcher(clcond);
22 Vat c_vat = new Vat();
23 RawTCPClient client = new RawTCPClient(ch, c_vat);
24 c_vat.run(); //run, vat, run!
25
26 client.connect(new IPv4Address("localhost", 5555));
27 //Thread.sleep(1);
28 client.send(testbuffer);
29 return 0;
30 }
31
32 char testbuffer[] =
33 "00000000000000000000000000000000000000000000000000"
34 "00000000000000000000000000000000000000000000000000"
35 "00000000000000000000000000000000000000000000000000"
36 "00000000000000000000000000000000000000000000000000"
37 "00000000000000000000000000000000000000000000000000"
38 "00000000000000000000000000000000000000000000000000"
39 "00000000000000000000000000000000000000000000000000"
40 "00000000000000000000000000000000000000000000000000"
41 "00000000000000000000000000000000000000000000000000"
42 "00000000000000000000000000000000000000000000000000"
43 "00000000000000000000000000000000000000000000000000"
44 "00000000000000000000000000000000000000000000000000"
45 "00000000000000000000000000000000000000000000000000"
46 "00000000000000000000000000000000000000000000000000"
47 "00000000000000000000000000000000000000000000000000"
48 "00000000000000000000000000000000000000000000000000"
49 "00000000000000000000000000000000000000000000000000"
50 "00000000000000000000000000000000000000000000000000"
51 "00000000000000000000000000000000000000000000000000"
52 "00000000000000000000000000000000000000000000000000"
53 "00000000000000000000000000000000000000000000000000"
54 "00000000000000000000000000000000000000000000000000"
55 "00000000000000000000000000000000000000000000000000"
56 "00000000000000000000000000000000000000000000000000"
57 "00000000000000000000000000000000000000000000000000"
58 "00000000000000000000000000000000000000000000000000"
59 "00000000000000000000000000000000000000000000000000"
60 "00000000000000000000000000000000000000000000000000"
61 "00000000000000000000000000000000000000000000000000"
62 "00000000000000000000000000000000000000000000000000"
63 "00000000000000000000000000000000000000000000000000"
64 "00000000000000000000000000000000000000000000000000"
65 "00000000000000000000000000000000000000000000000000"
66 "00000000000000000000000000000000000000000000000000"
67 "00000000000000000000000000000000000000000000000000"
68 "00000000000000000000000000000000000000000000000000"
69 "00000000000000000000000000000000000000000000000000"
70 "00000000000000000000000000000000000000000000000000"
71 "00000000000000000000000000000000000000000000000000"
72 "00000000000000000000000000000000000000000000000000"
73 "00000000000000000000000000000000000000000000000000"
74 "00000000000000000000000000000000000000000000000000"
75 "00000000000000000000000000000000000000000000000000"
76 "00000000000000000000000000000000000000000000000000"
77 "00000000000000000000000000000000000000000000000000"
78 "00000000000000000000000000000000000000000000000000"
79 "00000000000000000000000000000000000000000000000000"
80 "00000000000000000000000000000000000000000000000000"
81 "00000000000000000000000000000000000000000000000000"
82 "00000000000000000000000000000000000000000000000000"
83 "00000000000000000000000000000000000000000000000000"
84 "00000000000000000000000000000000000000000000000000"
85 "00000000000000000000000000000000000000000000000000"
86 "00000000000000000000000000000000000000000000000000"
87 "00000000000000000000000000000000000000000000000000"
88 "00000000000000000000000000000000000000000000000000"
89 "00000000000000000000000000000000000000000000000000"
90 "00000000000000000000000000000000000000000000000000"
91 "00000000000000000000000000000000000000000000000000"
92 "00000000000000000000000000000000000000000000000000"
93 "00000000000000000000000000000000000000000000000000"
94 "00000000000000000000000000000000000000000000000000"
95 "00000000000000000000000000000000000000000000000000"
96 "00000000000000000000000000000000000000000000000000"
97 "00000000000000000000000000000000000000000000000000"
98 "00000000000000000000000000000000000000000000000000"
99 "00000000000000000000000000000000000000000000000000"
100 "00000000000000000000000000000000000000000000000000"
101 "00000000000000000000000000000000000000000000000000"
102 "00000000000000000000000000000000000000000000000000"
103 "00000000000000000000000000000000000000000000000000"
104 "00000000000000000000000000000000000000000000000000"
105 "00000000000000000000000000000000000000000000000000"
106 "00000000000000000000000000000000000000000000000000"
107 "00000000000000000000000000000000000000000000000000"
108 "00000000000000000000000000000000000000000000000000"
109 "00000000000000000000000000000000000000000000000000"
110 "00000000000000000000000000000000000000000000000000"
111 "00000000000000000000000000000000000000000000000000"
112 "00000000000000000000000000000000000000000000000000"
113 "00000000000000000000000000000000000000000000000000"
114 "00000000000000000000000000000000000000000000000000"
115 "00000000000000000000000000000000000000000000000000"
116 "00000000000000000000000000000000000000000000000000"
117 "00000000000000000000000000000000000000000000000000"
118 "00000000000000000000000000000000000000000000000000"
119 "00000000000000000000000000000000000000000000000000"
120 "00000000000000000000000000000000000000000000000000"
121 "00000000000000000000000000000000000000000000000000"
122 "00000000000000000000000000000000000000000000000000"
123 "00000000000000000000000000000000000000000000000000"
124 "00000000000000000000000000000000000000000000000000"
125 "00000000000000000000000000000000000000000000000000"
126 "00000000000000000000000000000000000000000000000000"
127 "00000000000000000000000000000000000000000000000000"
128 "00000000000000000000000000000000000000000000000000"
129 "00000000000000000000000000000000000000000000000000"
130 "00000000000000000000000000000000000000000000000000"
131 "00000000000000000000000000000000000000000000000000"
132 "00000000000000000000000000000000000000000000000000"
133 "00000000000000000000000000000000000000000000000000"
134 "00000000000000000000000000000000000000000000000000"
135 "00000000000000000000000000000000000000000000000000"
136 "00000000000000000000000000000000000000000000000000"
137 "00000000000000000000000000000000000000000000000000"
138 "00000000000000000000000000000000000000000000000000"
139 "00000000000000000000000000000000000000000000000000"
140 "00000000000000000000000000000000000000000000000000"
141 "00000000000000000000000000000000000000000000000000"
142 "00000000000000000000000000000000000000000000000000"
143 "00000000000000000000000000000000000000000000000000"
144 "00000000000000000000000000000000000000000000000000"
145 "00000000000000000000000000000000000000000000000000"
146 "00000000000000000000000000000000000000000000000000"
147 "00000000000000000000000000000000000000000000000000"
148 "00000000000000000000000000000000000000000000000000"
149 "00000000000000000000000000000000000000000000000000"
150 "00000000000000000000000000000000000000000000000000"
151 "00000000000000000000000000000000000000000000000000"
152 "00000000000000000000000000000000000000000000000000"
153 "00000000000000000000000000000000000000000000000000"
154 "00000000000000000000000000000000000000000000000000"
155 "00000000000000000000000000000000000000000000000000"
156 "00000000000000000000000000000000000000000000000000"
157 "00000000000000000000000000000000000000000000000000"
158 "00000000000000000000000000000000000000000000000000"
159 "00000000000000000000000000000000000000000000000000"
160 "00000000000000000000000000000000000000000000000000"
161 "00000000000000000000000000000000000000000000000000"
162 "00000000000000000000000000000000000000000000000000"
163 "00000000000000000000000000000000000000000000000000"
164 "00000000000000000000000000000000000000000000000000"
165 "00000000000000000000000000000000000000000000000000"
166 "00000000000000000000000000000000000000000000000000"
167 "00000000000000000000000000000000000000000000000000"
168 "00000000000000000000000000000000000000000000000000"
169 "00000000000000000000000000000000000000000000000000"
170 "00000000000000000000000000000000000000000000000000"
171 "00000000000000000000000000000000000000000000000000"
172 "00000000000000000000000000000000000000000000000000"
173 "00000000000000000000000000000000000000000000000000"
174 "00000000000000000000000000000000000000000000000000"
175 "00000000000000000000000000000000000000000000000000"
176 "00000000000000000000000000000000000000000000000000"
177 "00000000000000000000000000000000000000000000000000"
178 "00000000000000000000000000000000000000000000000000"
179 "00000000000000000000000000000000000000000000000000"
180 "00000000000000000000000000000000000000000000000000"
181 "00000000000000000000000000000000000000000000000000"
182 "00000000000000000000000000000000000000000000000000"
183 "00000000000000000000000000000000000000000000000000"
184 "00000000000000000000000000000000000000000000000000"
185 "00000000000000000000000000000000000000000000000000"
186 "00000000000000000000000000000000000000000000000000"
187 "00000000000000000000000000000000000000000000000000"
188 "00000000000000000000000000000000000000000000000000"
189 "00000000000000000000000000000000000000000000000000"
190 "00000000000000000000000000000000000000000000000000"
191 "00000000000000000000000000000000000000000000000000"
192 "00000000000000000000000000000000000000000000000000"
193 "00000000000000000000000000000000000000000000000000"
194 "00000000000000000000000000000000000000000000000000"
195 "00000000000000000000000000000000000000000000000000"
196 "00000000000000000000000000000000000000000000000000"
197 "00000000000000000000000000000000000000000000000000"
198 "00000000000000000000000000000000000000000000000000"
199 "00000000000000000000000000000000000000000000000000"
200 "00000000000000000000000000000000000000000000000000"
201 "00000000000000000000000000000000000000000000000000"
202 "00000000000000000000000000000000000000000000000000"
203 "00000000000000000000000000000000000000000000000000"
204 "00000000000000000000000000000000000000000000000000"
205 "00000000000000000000000000000000000000000000000000"
206 "00000000000000000000000000000000000000000000000000"
207 "00000000000000000000000000000000000000000000000000"
208 "00000000000000000000000000000000000000000000000000"
209 "00000000000000000000000000000000000000000000000000"
210 "00000000000000000000000000000000000000000000000000"
211 "00000000000000000000000000000000000000000000000000"
212 "00000000000000000000000000000000000000000000000000"
213 "00000000000000000000000000000000000000000000000000"
214 "00000000000000000000000000000000000000000000000000"
215 "00000000000000000000000000000000000000000000000000"
216 "00000000000000000000000000000000000000000000000000"
217 "00000000000000000000000000000000000000000000000000"
218 "00000000000000000000000000000000000000000000000000"
219 "00000000000000000000000000000000000000000000000000"
220 "00000000000000000000000000000000000000000000000000"
221 "00000000000000000000000000000000000000000000000000"
222 "00000000000000000000000000000000000000000000000000"
223 "00000000000000000000000000000000000000000000000000"
224 "00000000000000000000000000000000000000000000000000"
225 "00000000000000000000000000000000000000000000000000"
226 "00000000000000000000000000000000000000000000000000"
227 "00000000000000000000000000000000000000000000000000"
228 "00000000000000000000000000000000000000000000000000"
229 "00000000000000000000000000000000000000000000000000"
230 "00000000000000000000000000000000000000000000000000"
231 "00000000000000000000000000000000000000000000000000"
232 "00000000000000000000000000000000000000000000000000"
233 "00000000000000000000000000000000000000000000000000"
234 "00000000000000000000000000000000000000000000000000"
235 "00000000000000000000000000000000000000000000000000"
236 "00000000000000000000000000000000000000000000000000"
237 "00000000000000000000000000000000000000000000000000"
238 "00000000000000000000000000000000000000000000000000"
239 "00000000000000000000000000000000000000000000000000"
240 "00000000000000000000000000000000000000000000000000"
241 "00000000000000000000000000000000000000000000000000"
242 "00000000000000000000000000000000000000000000000000"
243 "00000000000000000000000000000000000000000000000000"
244 "00000000000000000000000000000000000000000000000000"
245 "00000000000000000000000000000000000000000000000000"
246 "00000000000000000000000000000000000000000000000000"
247 "00000000000000000000000000000000000000000000000000"
248 "00000000000000000000000000000000000000000000000000"
249 "00000000000000000000000000000000000000000000000000"
250 "00000000000000000000000000000000000000000000000000"
251 "00000000000000000000000000000000000000000000000000"
252 "00000000000000000000000000000000000000000000000000"
253 "00000000000000000000000000000000000000000000000000"
254 "00000000000000000000000000000000000000000000000000"
255 "00000000000000000000000000000000000000000000000000"
256 "00000000000000000000000000000000000000000000000000"
257 "00000000000000000000000000000000000000000000000000"
258 "00000000000000000000000000000000000000000000000000"
259 "00000000000000000000000000000000000000000000000000"
260 "00000000000000000000000000000000000000000000000000"
261 "00000000000000000000000000000000000000000000000000"
262 "00000000000000000000000000000000000000000000000000"
263 "00000000000000000000000000000000000000000000000000"
264 "00000000000000000000000000000000000000000000000000"
265 "00000000000000000000000000000000000000000000000000"
266 "00000000000000000000000000000000000000000000000000"
267 "00000000000000000000000000000000000000000000000000"
268 "00000000000000000000000000000000000000000000000000"
269 "00000000000000000000000000000000000000000000000000"
270 "00000000000000000000000000000000000000000000000000"
271 "00000000000000000000000000000000000000000000000000"
272 "00000000000000000000000000000000000000000000000000";
273